Updates from May, 2011 Toggle Comment Threads | Keyboard Shortcuts

  • CG 5:28 pm on May 31, 2011 Permalink | Reply
    Tags:   

    Macbookless 

    I’m macbookless and now trying WordPress application for iPad. Just want to find out how useful this thing to produce writings in different formats. I want to be able to write and jot ideas as much as possible so maybe this thing can help me being more productive.

    BTW Dropbox is very useful during this time, I put my recent work there and keep it updated from different devices. Cool.

    Progress for today:
    1. Have attended 3 full hours of discussions with #1
    2. Have done some revisions to progress report document and sent it to #1, #2 and #3
    3. Have sent macbook to repair center and install some required app to the other laptop.

     
  • CG 7:08 pm on May 30, 2011 Permalink | Reply
    Tags:   

    Progress of the day 

    1. Just found a possibility of contribution, still checking the validity in both theoretically and implementation.
    2. Just found out that phd research is not about finding something new. You also have analyze, test and verify everything, make conclusions, present all the proofs (with diagrams, graphs, list of coding, equations), and above all, you have to know every detail and simplify it and bring it to the higher level so everybody would understand what you’re doing. And, all has to be convincing.
    3. Just found out that the more pressure I get, the more I do things to deviate it. I write more, I talk more, I think more. I hope this is a good thing.
    4. I still in this state. So I’m still avoiding human beings and other living things.
    5. Tomorrow will meet #1 for progress report, and have to submit revised progress report to #2.
     
  • CG 11:39 am on May 30, 2011 Permalink | Reply
    Tags:   

    Keeping healthy during grad school 

    Breakfast

    and dinner

    of yesterday.

    Should keep self healthy and alive, to be able to finish the cruel grad school.
    [More about healthy fav food here]

     
  • CG 7:19 pm on May 28, 2011 Permalink | Reply
    Tags:   

    Paperworks on weekend 

    The result so far: 68 pages of progress report:

    and only 1 page of paper has to submitted on Monday!

     
  • CG 9:53 pm on May 27, 2011 Permalink | Reply
    Tags: family   

    Everybody has to go to school 

    …but mom has to finish hers very soon.

    [among 2nd grader’s and 3rd grader’s nobody can tell which one is the phd student’s 😀 ]

     
  • CG 9:37 pm on May 27, 2011 Permalink | Reply
    Tags:   

    To do list for weekend 

    And the next week will be a really busy one.

     
    • sunarhadi 10:21 am on May 28, 2011 Permalink | Reply

      Tetap semangat dan jaga kesehatan!

      yang paling bawah juga masuk agenda: Jagalah keluarga kita dari bahaya narkoba …. 🙂

    • amirul 11:35 am on May 30, 2011 Permalink | Reply

      narkoba ada dimana_mana ckckck 🙂

  • CG 2:36 pm on May 26, 2011 Permalink | Reply
    Tags: , ,   

    24629 lines of code 

    after this one works, next will be KOA.

     
    • amirul 2:38 pm on May 26, 2011 Permalink | Reply

      bejar memahami code” script..

    • Bobby Adi Prabowo 4:51 pm on May 26, 2011 Permalink | Reply

      file nya dikit ya, cuma 3, ga aneh jumlah kode bisa segitu. apalagi liat klo liat kode “if” statement nya, repetitif banget

      • CG 7:04 pm on May 26, 2011 Permalink | Reply

        lha iya byk line of code nya wong isinya LUT 😀 ini di posting hanya untuk dokumentasi aja dan logbook kemajuan penelitian, dan gak semua code full dikeluarin, nanti ada yang nyabot disertasi gue gawat :))

      • ali 11:23 pm on June 25, 2011 Permalink | Reply

        hi..i am from pakistan.can u make a code in vhdl of cryptography in fpga which i can simulate on xilinx or modelsim

  • CG 1:46 pm on May 25, 2011 Permalink | Reply
    Tags: alog table, dectobin, , regex   

    parsing alog table file with perl 

    with a little regex and dec-to-bin conversion. this version still has bugs.

    this is after master shifu found some bugs and made me rewrite the code:

     
    • Bobby Adi Prabowo 3:04 pm on May 25, 2011 Permalink | Reply

      kids stuff #joke

      • CG 3:14 pm on May 25, 2011 Permalink | Reply

        sometimes you have to waste half a day to deal with this kids stuff programming 😦

      • CG 3:29 pm on May 25, 2011 Permalink | Reply

        how can it help? don’t have much time moving from place to place.

  • CG 2:31 pm on May 24, 2011 Permalink | Reply
    Tags: , ,   

    PhD Life: 2 weeks before progress seminar 

    Perl scripting is very useful when you have to generate 8192 lines of code in VHDL.

     
    • amirul 2:54 pm on May 24, 2011 Permalink | Reply

      kode_kode tok.. ngeri gan..

    • Dio Gratia 6:03 pm on May 24, 2011 Permalink | Reply

      Any language will do.

      /*

      • sboxes.c
      • c program to generate vhdl entity/architecture pairs
      • for DES S boxes. Source for the S box values is the
      • char S[8][64] array extracted from crypt.c (crypt(3)).

      */

      static char S[8][4][16] = {
      14, 4,13, 1, 2,15,11, 8, 3,10, 6,12, 5, 9, 0, 7,
      0,15, 7, 4,14, 2,13, 1,10, 6,12,11, 9, 5, 3, 8,
      4, 1,14, 8,13, 6, 2,11,15,12, 9, 7, 3,10, 5, 0,
      15,12, 8, 2, 4, 9, 1, 7, 5,11, 3,14,10, 0, 6,13,

      15, 1, 8,14, 6,11, 3, 4, 9, 7, 2,13,12, 0, 5,10,
      3,13, 4, 7,15, 2, 8,14,12, 0, 1,10, 6, 9,11, 5,
      0,14, 7,11,10, 4,13, 1, 5, 8,12, 6, 9, 3, 2,15,
      13, 8,10, 1, 3,15, 4, 2,11, 6, 7,12, 0, 5,14, 9,

      10, 0, 9,14, 6, 3,15, 5, 1,13,12, 7,11, 4, 2, 8,
      13, 7, 0, 9, 3, 4, 6,10, 2, 8, 5,14,12,11,15, 1,
      13, 6, 4, 9, 8,15, 3, 0,11, 1, 2,12, 5,10,14, 7,
      1,10,13, 0, 6, 9, 8, 7, 4,15,14, 3,11, 5, 2,12,

      7,13,14, 3, 0, 6, 9,10, 1, 2, 8, 5,11,12, 4,15,
      13, 8,11, 5, 6,15, 0, 3, 4, 7, 2,12, 1,10,14, 9,
      10, 6, 9, 0,12,11, 7,13,15, 1, 3,14, 5, 2, 8, 4,
      3,15, 0, 6,10, 1,13, 8, 9, 4, 5,11,12, 7, 2,14,

      2,12, 4, 1, 7,10,11, 6, 8, 5, 3,15,13, 0,14, 9,
      14,11, 2,12, 4, 7,13, 1, 5, 0,15,10, 3, 9, 8, 6,
      4, 2, 1,11,10,13, 7, 8,15, 9,12, 5, 6, 3, 0,14,
      11, 8,12, 7, 1,14, 2,13, 6,15, 0, 9,10, 4, 5, 3,

      12, 1,10,15, 9, 2, 6, 8, 0,13, 3, 4,14, 7, 5,11,
      10,15, 4, 2, 7,12, 9, 5, 6, 1,13,14, 0,11, 3, 8,
      9,14,15, 5, 2, 8,12, 3, 7, 0, 4,10, 1,13,11, 6,
      4, 3, 2,12, 9, 5,15,10,11,14, 1, 7, 6, 0, 8,13,

      4,11, 2,14,15, 0, 8,13, 3,12, 9, 7, 5,10, 6, 1,
      13, 0,11, 7, 4, 9, 1,10,14, 3, 5,12, 2,15, 8, 6,
      1, 4,11,13,12, 3, 7,14,10,15, 6, 8, 0, 5, 9, 2,
      6,11,13, 8, 1, 4,10, 7, 9, 5, 0,15,14, 2, 3,12,

      13, 2, 8, 4, 6,15,11, 1,10, 9, 3,14, 5, 0,12, 7,
      1,15,13, 8,10, 3, 7, 4,12, 5, 6,11, 0,14, 9, 2,
      7,11, 4, 1, 9,12,14, 2, 0, 6,10,13,15, 3, 5, 8,
      2, 1,14, 7, 4,10, 8,13,15,12, 9, 0, 3, 5, 6,11,
      };

      #include
      #include
      #include

      #define BIT(x) ( 1 << x )

      main (argc,argv)
      int argc;
      char *argv[];
      {
      int i, j, k, bit, sbox;
      char ofile[24];

      for ( sbox = 0; sbox < 8; sbox++) { /* S box index */

      sprintf(ofile,"sbox%1d.vhdl",sbox+1);

      if (freopen (ofile,"w",stdout) == NULL) {
      fprintf(stderr,"ERROR:%s, opening %s for output\n",argv[0],ofile);
      exit(-1);
      }

      printf("library ieee;\nuse ieee.std_logic_1164.all;\n");
      printf("\nentity %s%1d is\n port (\n","sbox",sbox+1);
      printf("\tB:\t\tin std_logic_vector (1 to 6);\n");
      printf("\tS:\t\tout std_logic_vector (1 to 4)\n");
      printf(" );\nend ;\n");
      printf("\narchitecture behave of %s%1d is\n\n","sbox",sbox+1);
      printf(" — sbox outputs are little endian order\n\n");
      printf("\n");
      printf(" begin\n\n");
      printf("lookup:\n");
      printf(" process(B)\n");
      printf("\tvariable i:\t\tstd_logic_vector (1 downto 0);\n");
      printf("\tvariable j:\t\tstd_logic_vector (15 downto 0);\n");
      printf("\tvariable row0:\t\tstd_logic_vector (1 to 4);\n");
      printf("\tvariable row1:\t\tstd_logic_vector (1 to 4);\n");
      printf("\tvariable row2:\t\tstd_logic_vector (1 to 4);\n");
      printf("\tvariable row3:\t\tstd_logic_vector (1 to 4);\n");
      printf("\n");
      printf("\tbegin\n\n");
      printf("\ti := B(1) & B(6);\n\n");
      for (i = 0; i< 16; i++) {
      printf("\tj(%d)%s:= %s B(2) and %s B(3) ",i,
      ((i <= 9)?" ":" "),
      ((BIT(3)&i)?" ":"not"),
      ((BIT(2)&i)?" ":"not")
      );
      printf("and %s B(4) and %s B(5);\n",
      ((BIT(1)&i)?" ":"not"),
      ((BIT(0)&i)?" ":"not")
      );
      }
      for ( i = 0, k = 0; i = 0; bit–) {
      printf(“\trow%1d(%1d) := “,i,4-bit);
      for ( j = 0; j < 16; j++) { /* column index */
      if ((S[sbox][i][j])&BIT(bit)) {
      k++;
      printf("j(%2d) ",j);
      if ( k < 8)
      printf("or ");
      if ( k == 4 )
      printf("\n\t\t ");
      }
      }
      k = 0;
      printf(";\n");
      }
      }
      printf("\n\t– row selects\n");
      for (bit = 1; bit <= 4; bit++) {
      printf("\tS(%1d) <= ",bit);
      for ( i = 0; i < 4; i++) {
      printf("%s(row%1d(%1d) and %s i(1) and %s i(0) ) %s\n",
      ((i)?"\t\t ":" "),
      i,
      bit,
      ((BIT(1)&i)?" ":"not"),
      ((BIT(0)&i)?" ":"not"),
      ((i == 3)?";":"or"));
      }
      }
      printf(" end process;\n");
      printf("end behave;\n");
      }
      exit(0);
      }

      • CG 6:33 pm on May 24, 2011 Permalink | Reply

        yes sure i can also do it with c language, but i need to parse some data from file so i think perl is more flexible than c, isn’t it?

      • ali 11:22 pm on June 25, 2011 Permalink | Reply

        hi..i am from pakistan.can u make a code in vhdl of cryptography in fpga which i can simulate on xilinx or modelsim

  • CG 3:26 pm on May 23, 2011 Permalink | Reply
    Tags: , ,   

    Battery Saga: Old and New 

    Have just found out that it wasn’t the battery. It’s the Macbook. Have to get it fixed while I’m having a really important paper deadline in a week and a progress seminar in 2 weeks. Grad school is cruel :((

     
    • Budi Rahardjo 7:45 pm on May 24, 2011 Permalink | Reply

      whoa … that sucks!

      • CG 9:05 am on May 27, 2011 Permalink | Reply

        have to back up and migrating the system and data elsewhere, and then saving money to buy a new one 🙂

    • Bobby Adi Prabowo 1:24 am on May 25, 2011 Permalink | Reply

      aku juga kmaren rusak batere nya, tiba2 ga dikenali. aku bawa ke tempat servis yang aku tau (bukan resmi apple) cuma 1 hari beres, ternyata konektor batere nya yang lepas

      • CG 8:18 am on May 25, 2011 Permalink | Reply

        batere kita kan beda ya? konektornya beda juga kali ya? yg saya keliatan sih, gak tampak lepas tapi gak tau kalau koneksi internalnya masalah 😦 tempat servisnya dmana?

        • Bobby Adi Prabowo 3:06 pm on May 25, 2011 Permalink

          apel klinik namanya di dalem IBCC, yang jaga masih mahasiswa kok, di atasnya electronic solution

c
Compose new post
j
Next post/Next comment
k
Previous post/Previous comment
r
Reply
e
Edit
o
Show/Hide comments
t
Go to top
l
Go to login
h
Show/Hide help
shift + esc
Cancel