Ubuntu on Mac, yay :)
Now I have Ubuntu 10.04 Lucyd Lynx running on my Mac, and I can compile VHDL with GHDL and also use GTKWave. Yay! 🙂
Thanks to VirtualBox and the one who recommends it 🙂
Yay. My first code in VHDL.
library ieee;
use ieee.std_logic_1164.all;
entity ha_CG is
Port ( X : in std_logic;
Y : in std_logic;
Sum : out std_logic;
Carry : out std_logic);
end ha_CG;
architecture Behavioral of ha_CG is
begin
Sum <= X xor Y;
Carry <= X and Y;
end Behavioral;
Happy enough to successfully compile it with GHDL and Wine.
Like has been said here:
Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, using the GCC back-end and without using an intermediary language such as C or C++. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
i need to generate netlist. i’m using quartus instead of ghdl for now.
Hi, I’ve made a newer version of GHDL with wine. I’m working on building GHDL on 10.6.
Go get it here : http://www.grm.polymtl.ca/~rogers/
You can get a native vcd viewer : http://www.logicpoet.com/scansion/
-- Hello world program.
use std.textio.all; -- Imports the standard textio package.
-- Defines a design entity, without any ports.
entity hello_world is
end hello_world;
architecture behaviour of hello_world is
begin
process
variable l : line;
begin
write (l, String'("Hello world!"));
writeline (output, l);
wait;
end process;
end behaviour;
wine ghdl.exe -a hello_world.vhdl
wine ghdl.exe -e hello_world
wine ghdl.exe -r hello_world
or wine ./hello_world
Notes:
the working directory is : /Users/chika/.wine/drive_c/Program Files/Ghdl/bin
When I write VHDL or Verilog I like to use automated tools. C-to-Verilog.com is a website which allows you to compiler your C code into hardware circuits. It has a great pipelining algorithm for superb performance.
nadav: thank you
Thanks for this help on how to install and use ghdl in OS X unfortunately it does not work. Instructions seem not to cover what to do with the wine stuff. Could you please help?
thanks
vin
maybe this link https://cryptocode.wordpress.com/2010/05/04/installing-wine-on-mac-os/ can be a help 🙂
Hello! thanks for you instruction. However, wen i run a command line “wine ghdl.exe -a file.vhdl” it said that “-bash: wine: command not found”. so do i need to install wine? or just copy from the dmg that you provided? thanks!
yes you have to install wine! 🙂
I have to install Wine, because I want to use GHDL to compile VHDL.
Useful links:
But before installing Wine I had to installed Macports for Snow Leopard, downloaded from here.
bobby 8:30 am on May 7, 2010 Permalink |
pake virtualbox deh biar aman